Ensuring 3DIC Reliability Ebook 1094734221-85331-3D IC Reliability - Mfg. eBook

System-Level, Post-Layout Electrical Analysis for Highdensity Advanced Packaging

As HDAP designs become more popular, the need for post-layout simulation (analog) and post-layout STA (digital) flows to augment basic physical verification (DRC and LVS) is growing. Siemens Digital Industries provides an accurate, automated flow that generates the required HDAP netlist for simulation/STA to enable HDAP designers to ensure that the HDAP will perform as designed.

To learn more about this topic download "System-Level, Post-Layout Electrical Analysis for Highdensity Advanced Packaging"